Системы проектирования параметров электронных средств. Автоматизированное проектирование электронных устройств. Объемный электрический монтаж

Часть 1. Общие сведения о САПР

Сведения о проектировании технических объектов

Общие сведения

Проектирование новых видов и образцов машин, оборудования, устройств, аппаратов, приборов и других изделий представляет сложный и длительный процесс, включающий в себя разработку исходных данных, чертежей, технической документации, необходимых для изготовления опытных образцов и последующего производства и эксплуатации объектов проектирования.

Это комплекс работ с целью получения описаний нового или модернизируемого технического объекта, достаточных для реализации или изготовления объекта в заданных условиях. В процессе проектирования возникает необходимость создания описания, необходимого для построения еще не существующего объекта. Получаемые при проектировании описания бывают окончательными или промежуточными. Окончательные описания представляют собой комплект конструкторско-технологической документации в виде чертежей, спецификаций, программ для ЭВМ и автоматизированных комплексов и т.д.

Процесс проектирования, осуществляемый полностью человеком, называют неавтоматизированным . В настоящее время наибольшее распространение при проектировании сложных объектов получило проектирование, при котором происходит взаимодействие человека и ЭВМ. Такое проектирование называют автоматизированным . - это организационно-техническая система, состоящая из комплекса средств автоматизации проектирования, взаимодействующего с подразделениями проектной организации и выполняющая автоматизированное проектирование. Представления о сложных технических объектах в процессе их проектирования разделяются на аспекты и иерархические уровни. Аспекты характеризуют ту или иную группу родственных свойств объекта. Типичными аспектами в описаниях технических объектов являются: функциональный, конструкторский и технологический. Функциональный аспект отражает физические и информационные процессы, протекающие в объекте при его функционировании. Конструкторский аспект характеризует структуру, расположение в пространстве и форму составных частей объекта. Технологический аспект определяет технологичность, возможности и способы изготовления объекта в заданных условиях.

Разделение описаний проектируемых объектов на иерархические уровни по степени подробности отражения свойств объектов составляет сущность блочно-иерархического подхода к проектированию.

Типичными иерархическими уровнями функционального проектирования являются: функционально-логический (функциональные и логические схемы); схемотехнический (электрические схемы узлов и отдельных блоков); компонентный (проектирование элементов и их размещение).

Проектирование делится на стадии, этапы и процедуры. Выделяют стадии научно-исследовательских работ (НИР), опытно-конструкторских работ (ОКР), эскизного проекта, технического проекта, рабочего проекта, испытаний опытного образца .

Описание объекта или его части, достаточное для принятия заключения об окончании проектирования или путях его продолжения. - часть проектирования, заканчивающаяся получением проектного решения. Маршрутом проектирования называется последовательность проектных процедур, ведущая к получению требуемых проектных решений.

Проектные процедуры делятся на процедуры синтеза и анализа. Процедура синтеза заключается в создании описаний проектируемого объекта. В описаниях отображаются структура и параметры объекта (т.е. осуществляется структурный и параметрический синтез). Процедура анализа - исследование объекта. Собственно задача анализа формулируется как задача установления соответствия двух различных описаний одного и того же объекта. Одно из описаний считается первичным, и его корректность предполагается установленной. Другое описание относится к более подробному уровню иерархии, и его правильность нужно установить сопоставлением с первичным описанием. Такое сопоставление называют верификацией. Существует два метода верификации проектных процедур: аналитический и численный .

Проектирование как отдельных объектов, так и систем начинается с выработки технического задания (ТЗ) на проектирование. В ТЗ содержатся основные сведения об объекте проектирования, условиях его эксплуатации, а также требования, предъявляемые заказчиком к проектируемому изделию. Важнейшее требование к ТЗ - это его полнота. Выполнение этого требования определяет сроки и качество проектирования. Следующий этап - предварительное проектирование - связан с поиском принципиальных возможностей построения системы, исследованием новых принципов, структур, обоснованием наиболее общих решений. Результатом этого этапа является техническое предложение .

На этапе эскизного проектирования производится детальная проработка возможности построения системы, его результатом является эскизный проект.

На этапе технического проектирования выполняется укрупненное представление всех конструкторских и технологических решений; результатом этого этапа является технический проект.

На этапе рабочего проектирования производится детальная проработка всех блоков, узлов и деталей проектируемой системы, а также технологических процессов производства деталей и их сборки в узлы и блоки.

Заключительный этап - изготовление опытного образца, по результатам испытаний которого вносят необходимые изменения в проектную документацию.

При неавтоматизированном проектировании наиболее трудоемкими являются этапы технического и рабочего проектирования. Внедрение автоматизации на этих этапах приводит к наиболее эффективным результатам .

В процессе проектирования сложной системы формируются определенные представления о системе, отражающие ее существенные свойства с той или иной степенью подробности. В этих представлениях можно выделить составные части - уровни проектирования. В один уровень, как правило, включаются представления, имеющие общую физическую основу и допускающие для своего описания использование одного и того же математического аппарата. Уровни проектирования можно выделять по степени подробности, с какой отражаются свойства проектируемого объекта. Тогда их называют горизонтальными (иерархическими) уровнями проектирования .

Выделение горизонтальных уровней лежит в основе блочно-иерархического подхода к проектированию. Горизонтальным уровням свойственно следующее:

    при переходе с некоторого уровня К1, на котором рассматривается система S, на соседний, более низкий уровень К2 происходит разделение системы S на блоки и рассмотрение вместо системы S ее отдельных блоков;

    рассмотрение каждого из блоков на уровне К2 с большей степенью детализации, чем на уровне К1, приводит к получению задач приблизительно одинаковой сложности с точки зрения возможностей восприятия человеком и возможностей решения с помощью имеющихся средств проектирования;

    использование своих понятий системы и элемента на каждом иерархическом уровне, т.е. если элементами проектируемой системы S считались блоки S k , то на соседнем, низшем уровне К2 те же блоки S k рассматриваются уже как системы.

Уровни проектирования можно выделять также по характеру учитываемых свойств объекта. В этом случае их называют вертикальными уровнями проектирования . При проектировании устройств автоматизации основными вертикальными уровнями являются функциональное (схемное), конструкторское и технологическое проектирования. При проектировании автоматизированных комплексов к этим уровням добавляется алгоритмическое (программное) проектирование .

Связано с разработкой структурных, функциональных и принципиальных схем. При функциональном проектировании определяются основные особенности структуры, принципы функционирования, важнейшие параметры и характеристики создаваемых объектов .

Алгоритмическое проектирование связано с разработкой алгоритмов функционирования ЭВМ и вычислительных систем (ВС), с созданием их общего системного и прикладного программного обеспечения.

Конструкторское проектирование включает в себя вопросы конструкторской реализации результатов функционального проектирования, т.е. вопросы выбора форм и материалов оригинальных деталей, выбора типоразмеров унифицированных деталей, пространственного расположения составных частей, обеспечивающего заданные взаимодействия между элементами конструкции.

Технологическое проектирование охватывает вопросы реализации результатов конструкторского проектирования, т.е. рассматриваются вопросы создания технологических процессов изготовления изделий.

Для этапа НИР целесообразно использование специальных систем автоматизации научных исследований и экспериментов . В этих системах используются многие элементы математического и программного обеспечения САПР, обслуживающие другие этапы проектирования.

В зависимости от порядка, в каком выполняются этапы проектирования, различают восходящее и нисходящее проектирование. Восходящее проектирование (проектирование снизу вверх) характеризуется решением задач более низких иерархических уровней перед решением задач более высоких уровней. Противоположная последовательность приводит к нисходящему проектированию (проектированию сверху вниз).

В настоящее время проектирование сложного оборудования и его элементов и узлов осуществляется на разных предприятиях с помощью различных САПР, в том числе типовых, например САПР проектирования электронной и вычислительной аппаратуры, САПР проектирования электрических машин и т.д. .

Функциональное проектирование в САПР включает в себя два больших горизонтальных уровня - системный и функционально-логический. Для выполнения задач этих уровней обычно используется нисходящее проектирование.

На системном уровне проектируются структурные схемы устройств, в связи с чем данный уровень называют также структурным уровнем . На этом уровне ведется укрупненное рассмотрение всей системы в целом, а элементами системы являются такие устройства, как процессоры, каналы связи, различные датчики, исполнительные устройства и др. .

На функционально-логическом уровне проектируются функциональные и принципиальные схемы устройств. Здесь выделяют подуровни - регистровый и логический. На регистровом подуровне проектируются устройства из блоков (блоки типа регистров, счетчиков, дешифраторов и логических преобразователей, составляющих цепи межрегистровых пересылок). На логическом подуровне проектируются устройства или составляющие их блоки из отдельных логических элементов, (например, вентилей и триггеров).

Задачи функционально-логического уровня в САПР устройств автоматизации аналогичны задачам такого же уровня в других САПР, связанных с проектированием технических объектов .

На схемотехническом уровне проектируются принципиальные электрические схемы устройств. Элементами здесь являются компоненты электронных схем (резисторы, конденсаторы, транзисторы, диоды).

На компонентном уровне разрабатываются отдельные компоненты устройств, рассматриваемые как системы, состоящие из элементов.

Функциональное проектирование в САПР может быть как восходящим, так и нисходящим. Восходящее проектирование характеризуется использованием типовых конфигураций компонентов.

Нисходящее проектирование характеризуется стремлением использовать схемотехнические решения, являющиеся наилучшими для конкретного устройства или элемента автоматизации, и связано с разработкой оригинальных принципиальных схем и структур компонентов.

Высшие иерархические уровни алгоритмического проектирования служат для создания программного обеспечения ЭВМ. Для сложных программных систем обычно выделяют два иерархических уровня. На высшем из них производится планирование программной системы и разрабатываются схемы алгоритмов; элементами схем являются программные модули. На следующем уровне эти модули программируются на каком-либо алгоритмическом языке. Здесь используется нисходящее проектирование.

Основная задача архитектурного уровня проектирования - выбор архитектуры системы, т.е. определение таких структурно-алгоритмических особенностей, как форматы данных и команд, система команд, принципы выполнения операций, условия возникновения и дисциплина обслуживания прерываний и т.п. .

Микропрограммный уровень предназначен для проектирования микропрограмм операций и процедур, выполняемых в ЭВМ аппаратным способом. Этот уровень тесно связан с функционально-логическим уровнем проектирования.

Конструкторское проектирование включает в себя иерархические уровни проектирования стоек, панелей, типовых элементов замены (ТЭЗов). Для решения конструкторских задач характерно восходящее проектирование.

Основные задачи системного и архитектурного уровней проектирования следующие:

В ТЗ на разработку отдельных устройств САПР входят: перечисление функций, выполняемых устройством; условия работоспособности устройства, требования к его выходным параметрам, данные о содержании и форме информации, которой данное устройство обменивается с другими устройствами системы. Кроме того, на этапе функционального проектирования устройств уже известно принятое на этапе предварительного проектирования решение относительно характера элементной базы.

Поэтому в задачи микропрограммного уровня алгоритмического проектирования и регистрового подуровня функционально-логического уровня проектирования входят:

    детализация выполняемых устройством функций, их алгоритмическая реализация и представление алгоритмов в одной из принятых форм;

    выбор принципов организации устройства, включающий, например, декомпозицию устройства на ряд блоков с выбором их структуры и т.п.;

    разработка микропрограмм, т.е. определение для каждой команды совокупности микрокоманд и последовательности их выполнения;

    синтез конечных автоматов (блоков), реализующих заданные функции, с определением типа и емкости памяти автоматов, функций выхода и возбуждения элементов памяти.

На логическом подуровне функционально-логического уровня проектирования решаются следующие задачи:

    синтез функциональных и принципиальных схем выделенных блоков;

    проверка работоспособности синтезируемых блоков с учетом задержек сигналов и ограничений выбранной элементной базы или выработка требований к элементам в составе САПР;

    синтез контролирующих и диагностических тестов;

    формулировка ТЗ для схемотехнического уровня проектирования.

Основную часть ТЗ на схемотехническом уровне проектирования составляют требования к выходным параметрам электронных схем: задержкам распространения сигналов, мощностям рассеяния, уровням выходного напряжения, запасам помехоустойчивости и т.п. Кроме того, в ТЗ оговариваются условия функционирования в виде указания допустимых диапазонов изменения внешних параметров (температуры, напряжений питания и др.).

На схемотехническом уровне основные задачи проектирования следующие:

    синтез структуры принципиальной схемы;

    расчет параметров пассивных компонентов и определение требований к параметрам активных компонентов;

    расчет вероятности выполнения требований ТЗ к выходным параметрам;

    формулировка ТЗ на проектирование компонентов.

На компонентном уровне задачи функционального, конструкторского и технологического проектирования тесно связаны друг с другом. Это:

    выбор физической структуры и расчет параметров полупроводниковых компонентов;

    выбор топологии компонентов и расчет геометрических размеров;

    расчет электрических параметров и характеристик компонентов;

    расчет параметров технологических процессов, обеспечивающих получение желаемого конечного результата;

    расчет вероятности выполнения требований к выходным параметрам элементов и устройств.

При нисходящем проектировании связь иерархических уровней проявляется через формирование ТЗ на разработку элементов с учетом требований, предъявляемых к системе.

При восходящем проектировании разработка элементов предшествует разработке системы, поэтому обычно ТЗ на элементы формируются на основе мнений экспертов на том же уровне, на каком эти элементы проектируются. Связь между уровнями проявляется прежде всего в том, что при проектировании системы учитываются свойства уже спроектированных элементов через использование макромоделей элементов .

Задачи конструкторского проектирования

Конструкторское проектирование включает в себя решение задач следующих групп: коммутационно-монтажного проектирования; обеспечения допустимых тепловых режимов; конструирования электромеханических узлов внешних устройств; изготовления конструкторской документации.

Основные задачи коммутационно-монтажного проектирования в САПР - задачи размещения компонентов на подложке и трассировки электрических соединений между компонентами. Эти задачи конкретизируются в следующем перечне:

    конструкторский расчет геометрических размеров компонентов (эта задача иногда считается задачей функционального проектирования);

    определение взаимного расположения компонентов на элементе конструкции;

    размещение компонентов на конструкторском элементе с учетом геометрии устройства, схемотехнических и технологических ограничений;

    трассировка соединений;

    вычерчивание чертежей общего вида устройства и определение основных габаритных размеров.

Задачи размещения элементов и трассировки электрических соединений решаются и в САПР устройств электронной техники РСАД. Так, на уровне типовых элементов замены (ТЭЗов) необходимо разместить корпуса микросхем и выполнить трассировку печатных проводников в одном или нескольких слоях печатной платы. Кроме того, к задачам коммутационно-монтажного проектирования относится задача компоновки элементов в блоки.

Изготовление конструкторской документации включает в себя автоматическое оформление результатов проектирования упомянутых выше задач в требуемом виде (например, в виде чертежей, диаграмм, таблиц и т.д.). Так, для получения фотооригиналов печатных плат и фотошаблонов интегральных схем (ИС) в настоящее время используется программно-управляемое оборудование - координатографы и фотонаборные установки .

Схема процесса проектирования

Задачи, решаемые на каждом этапе блочно-иерархического проектирования, делятся на задачи синтеза и анализа. Задачи синтеза связаны с получением проектных вариантов, а задачи анализа - с их оценкой.

Различают синтез параметрический и структурный. Цель структурного синтеза - получение структуры объекта, т.е. состава его элементов и способа их связи между собой .

Цель параметрического синтеза - определение числовых значений параметров элементов. Если ставится задача определения наилучших в некотором смысле структуры и (или) значений параметров, то такая задача синтеза называется оптимизацией . Часто оптимизация связана только с параметрическим синтезом, т.е. с расчетом оптимальных значений параметров при заданной структуре объекта. Задачу выбора оптимальной структуры называют структурной оптимизацией .

Задачи анализа при проектировании являются задачами исследования модели проектируемого объекта. Модели могут быть физическими (различного рода макеты, стенды) и математическими. - совокупность математических объектов (чисел, переменных, векторов, множеств и т.п.) и отношений между ними.

Математические модели объекта могут быть функциональными , если они отображают физические или информационные процессы, протекающие в моделируемом объекте, и структурными , если они отображают только структурные (в частном случае геометрические) свойства объектов. Функциональные модели объекта чаще всего представляют собой системы уравнений, а структурные модели объекта - это графы, матрицы и т.п.

Математическую модель объекта, полученную непосредственным объединением математических моделей элементов в общую систему, называют полной математической моделью . Упрощение полной математической модели объекта дает его макромодель . В САПР применение макромоделей приводит к сокращению затрат машинных времени и памяти, но за счет уменьшения точности и универсальности модели .

Важное значение при описании объектов имеют параметры, характеризующие свойства элементов, - параметры элементов (внутренние параметры), параметры, характеризующие свойства систем, - выходные параметры и параметры, характеризующие свойства внешней по отношению к рассматриваемому объекту среды, - внешние параметры.

Если обозначить через X, Q и Y векторы соответственно внутренних, внешних и выходных параметров, то очевидно, что Y есть функция Х и Q. Если эта функция известна и может быть представлена в явной форме Y = F(X, Q), то ее называют аналитической моделью.

Часто используются алгоритмические модели, в которых функция Y = F(X, Q) задается в виде алгоритма.

При одновариантном анализе исследуются свойства объекта в заданной точке пространства параметров, т.е. при заданных значениях внутренних и внешних параметров. К задачам одновариантного анализа относится анализ статических состояний, переходных процессов, стационарных режимов колебаний, устойчивости. При многовариантном анализе исследуются свойства объекта в окрестностях заданной точки пространства параметров. Типовыми задачами многовариантного анализа являются статистический анализ и анализ чувствительности.

Исходные данные для проектирования на очередном уровне зафиксированы в ТЗ, включающем перечисление функций объекта, технические требования (ограничения) ТТ на выходные параметры Y, допустимые диапазоны изменений внешних параметров. Требуемые соотношения между y j и TT j называют условиями работоспособности . Эти условия могут иметь вид равенств

и неравенств

где y j - допустимое отклонение реально достигнутого значения y j от указанного в ТЗ значения y j ; j = 1,2, ..., m (m - количество выходных параметров).

Для каждого нового варианта структуры должна корректироваться или заново составляться модель и выполняться оптимизация параметров. Совокупность процедур синтеза структуры, составления модели и оптимизации параметров есть процедура синтеза объекта.

Процесс проектирования носит итерационный характер. Итерации могут включать в себя и более чем один уровень проектирования. Таким образом, в процессе проектирования приходится многократно выполнять процедуру анализа объекта. Поэтому очевидно стремление уменьшить трудоемкость каждого варианта анализа без ущерба для качества окончательного проекта. В этих условиях целесообразно на начальных стадиях процесса проектирования, когда высокой точности результатов не требуется, использовать наиболее простые и экономичные модели. На последних этапах применяют наиболее точные модели, проводят многовариантный анализ и тем самым получают достоверные оценки работоспособности объекта .

Формализация проектных задач и возможности применения ЭВМ для их решения

Формализация проектной задачи является необходимым условием для ее решения на ЭВМ. К формализуемым задачам относятся прежде всего задачи, всегда считавшиеся рутинными, не требующими существенных затрат творческих усилий инженеров. Это процедуры изготовления конструкторской документации (КД) в условиях, когда содержание КД уже полностью определено, но еще не имеет принятой для хранения и дальнейшего использования формы (например. формы чертежей, графиков, схем, алгоритмов, таблиц соединений); процедуры проведения электрических соединений в печатных платах или выполнения фотоформ в полиграфии. Кроме рутинных к формализуемым задачам относится большинство задач анализа проектируемых объектов. Их формализация достигается благодаря развитию теории и методов автоматизированного проектирования, прежде всего моделирования. В то же время есть много проектных задач творческого характера, для которых способы формализации неизвестны. Это задачи, связанные с выбором принципов построения и организации объекта, синтеза схем и конструкций в условиях, когда выбор варианта производится среди неограниченного множества вариантов и не исключается возможность получения новых, ранее неизвестных решений.

Подход к решению задач указанных групп в САПР неодинаков. Полностью формализуемые задачи, составляющие первую группу задач, чаще всего решаются на ЭВМ без вмешательства человека в процесс решения. Частично формализуемые задачи, составляющие вторую группу задач, решаются на ЭВМ при активном участии человека, т.е. имеет место работа с ЭВМ в интерактивном режиме. Наконец, неформализуемые задачи, составляющие третью группу задач, решаются инженером без помощи ЭВМ.

В настоящее время одним из направлений развития математического обеспечения автоматизированного проектирования является разработка методов и алгоритмов синтеза на различных уровнях иерархического проектирования .

Классификация параметров проектируемых объектов

Среди свойств объекта, отражаемых в описаниях на определенном иерархическом уровне, различают свойства систем, элементов систем и внешней среды, в которой должен функционировать объект. Количественное выражение этих свойств осуществляется с помощью величин, называемых параметрами . Величины, характеризующие свойства системы, элементов системы и внешней среды, называют соответственно выходными, внутренними и внешними параметрами .

Обозначим количества выходных - внутренних и внешних - параметров через m, n, t, а векторы этих параметров соответственно через Y = (y 1 , y 2 , ..., y m), X = (x 1 , x 2 , ..., x n), Q = (q 1 , q 2 , ..., q t). Очевидно, что свойства системы зависят от внутренних и внешних параметров, т.е. существует функциональная зависимость

F = (y, x, t) (1.1)

Система соотношений F = (y, x, t) является примером математической модели (ММ) объекта. Наличие такой ММ позволяет легко оценивать выходные параметры по известным значениям векторов Y и Х. Однако существование зависимости (1.1) не означает, что она известна разработчику и может быть представлена именно в таком явном относительно векторов Y и Х виде. Как правило, математическую модель в виде (1.1) удается получить только для очень простых объектов. Типичной является ситуация, когда математическое описание процессов в проектируемом объекте задается моделью в форме системы уравнений, в которой фигурирует вектор фазовых переменных V:

LV (Z) = j(Z) (1.2)

Здесь L - некоторый оператор, V - вектор независимых переменных, в общем случае включающий время и пространственные координаты, j(Z) - заданная функция независимых переменных.

Фазовые переменные характеризуют физическое или информационное состояние объекта, а их изменения во времени выражают переходные процессы в объекте.

Следует подчеркнуть следующие особенности параметров в моделях проектируемых объектов:

    Внутренние параметры (параметры элементов) в моделях k-го иерархического уровня становятся выходными параметрами в моделях более низкого (k + 1)-го иерархического уровня. Так, для электронного усилителя параметры транзистора являются внутренними при проектировании усилителя и в то же время выходными при проектировании самого транзистора.

    Выходные параметры, или фазовые переменные, фигурирующие в модели одной из подсистем (в одном из аспектов описания), часто оказываются внешними параметрами в описаниях других подсистем (других аспектов). Так, максимальные температуры корпусов электронных приборов в электрических моделях усилителя относятся к внешним параметрам, а в тепловых моделях того же объекта - к выходным параметрам.

    Большинство выходных параметров объекта являются функционалами зависимостей V(Z), т.е. для их определения необходимо при заданных Х и Q выполнить решение системы уравнений (1.2) и по полученным результатам решения рассчитать Y. Примерами выходных параметров-функционалов служат мощность рассеяния, амплитуда колебаний, длительность задержки распространения сигнала и т.п.

Исходные описания проектируемых объектов часто представляют собой ТЗ на проектирование. В этих описаниях фигурируют величины, называемые техническими требованиями и выходными параметрами (иначе нормами выходных параметров). Технические требования образуют вектор ТТ = (TT 1 , TT 2 , ..., TT n), где величины ТТ представляют собой границы диапазонов изменения выходных параметров.

Контрольная работа по теме:

Этапы проектирования электронных систем

Проектное решение - промежуточное описание проектируемого объекта, полученное на том или ином иерархическом уровне, как результат выполнения процедуры (соответствующего уровня).

Проектная процедура - составная часть процесса проектирования. Примерами проектных процедур служат синтез функциональной схемы проектируемого устройства, моделирование, верификация, трассировка межсоединений на печатной плате и т.д.

Проектирование ЭУ разделяется на этапы. Этап представляет собой определенную последовательность проектных процедур. Общая последовательность этапов проектирования представляется так:

·составление ТЗ;

·ввод проекта;

·проектирование архитектуры;

·функционольно-логическое проектирование;

·схемотехническое проектирование;

·топологическое проектирование;

·изготовление опытного образца;

·определение характеристик устройства.

Составление ТЗ. Определяются требования к проектируемому изделию, его характеристики и формируется техническое задание на проектирование.

Ввод проекта. Для каждого этапа проектирования характерны свои средства ввода, более того, во многих инструментальных системах предусматривают более чем один способ описания проекта.

Эффективными являются высокоуровневые графические и текстовые редакторы описания проекта современных систем проектирования. Такие редакторы дают разработчику возможность чертить блок - схему крупной системы, назначать модели для индивидуальных блоков и соединять последние посредством шин и трактов передачи сигналов. Редакторы, как правило, автоматически связывают текстовые описания блоков и соединений с соответствующими графическими изображениями, обеспечивая тем самым комплексное моделирование системы. Это позволяет инженерам системотехника не менять привычного стиля работы: можно по - прежнему думать, набрасывая блок-схему своего проекта как бы на листе бумаги, в то же время будет вводится и накапливаться точная информация о системе.

Логические уравнения или принципиальные электрические схемы зачастую очень удачно используются для описания базовой интерфейсной стыковочной логике.

Таблицы истинности целесообразные для описания дешифраторов или других простых логических блоков.

Языки описания аппаратуры, содержащие конструкции типа конечных автоматов, обычно гораздо эффективнее для представления более сложных логических функциональных блоков, например блоков управления.

Проектирование архитектуры. Представляет собой проектирование ЭУ до уровня передачи сигналов ЦП и ЗУ, ЗУ и КПДП. На этом этапе определяется состав устройства в целом, определяются его главные аппаратные и программные компоненты.

Т.е. проектирование целой системы с высокоуровневым ее представлением для проверки корректности архитектурных решений, делается, как правило, в тех случаях, когда разрабатывается принципиально новая система и необходимо тщательно проработать все архитектурные вопросы.

Во многих случаях полное системное проектирование требует включения в структуру и неэлектрических компонентов и эффектов, с целью проверки их в едином комплексе моделирования.

В качестве элементов этого уровня используются: процессор, память, контроллеры, шины. При построении моделей и моделировании системы здесь используются методы теории графов, теории множеств, теории Марковских процессов, теории массового обслуживания, а также логико-математические средства описания функционирования системы.

На практике предусматривается построение параметризированной системной архитектуры и выбор оптимальных параметров ее конфигурации. Следовательно и соответствующие модели должны быть параметизированны. Параметры конфигурации архитектурной модели определяют, какие функции будут реализовываться аппаратными, а какие программными средствами. В качестве некоторых параметров конфигурации для аппаратных средств можно назвать:

·число, разрядность и пропускную способность шин системы;

·время доступа к памяти;

·размер кэш-памяти;

·число процессоров, портов, регистровых блоков;

·емкость буферов передачи данных.

А к параметрам конфигурации программных средств относятся, например:

·параметры планировщика;

·приоритетность задач;

·интервал "удаления мусора";

·максимально допустимый интервал ЦП для программы;

·параметры подсистемы управления памятью (размер страницы, сегмента, а также распределение файлов по дисковым секторам;

Параметры конфигурации средств передачи данных:

·величина интервала тайм-аута;

·размер фрагмента;

·протокольные параметры для обнаружения и исправления ошибок.

Рис. 1 - Последовательность проектных процедур архитектурного этапа проектирования


При интерактивном проектировании на системном уровне вначале вводится функциональные спецификации системного уровня в виде диаграмм потоков данных, а также выбираются типы компонентов для реализации различных функций (рис. 1). Здесь главная задача заключается в том, что разработать такую системную архитектуру, которая будет удовлетворять заданным функциональным, скоростным и стоимостным требованиям. Ошибки на архитектурном уровне обходятся гораздо дороже, чем в решениях, принимаемых в процессе физической реализации.

Архитектурные модели имеют важное значение и отражают логику поведения системы и временные ее особенности, что позволяет выявлять функциональные проблемы. Они обладают четырьмя важными особенностями:

·они точно представляют функциональные возможности аппаратных и программных компонентов с использованием высокоуровневых абстракций данных в виде потоков данных;

·архитектурные модели абстрактно представляют технологию реализации в виде временных параметров. Конкретную технологию реализации определяют конкретные значения этих параметров;

·архитектурные модели содержат схемы, позволяющие многим функциональным блокам разделять (коллективно использовать) компоненты;

·эти модели должны допускать параметризацию, типизацию и повторное использование;

Моделирование на системном уровне позволяет разработчику оценить альтернативные варианты проектов системы с точки зрения соотношения их функциональных возможностей, показателей быстродействия и стоимости.

Инструментальная система нисходящего проектирования (ASIC Navigator, компании Compass Disign Automation) для ASIC (спец. ИС) и систем.

Попытка освободить инженеров от проектирование на вентильном уровне.

·Logic Assistant (ассистент по логике);

·Design Assistant;

·ASIC Synthesizez (синтезатор ASIC);

·Test Assistant;

Это унифицированная среда проектирования и анализа. Позволяет создать спецификацию ASIC, вводя графические и текстовые описания своих проектов. Пользователи могут описывать свои проекты при помощи большинства способов высокоуровневого ввода, в том числе блок-схем, булевых формул, диаграмм состояния, операторов языка VHDL и Verilog и т.д. Программные средства системы будут поддерживать эти способы ввода как основу всего последующего процесса проектирования ASIC-системы.

Общую архитектуру проектируемой ASIC можно представить в виде взаимосвязанных функциональных блоков без учета их физического разбиения. Эти блоки можно затем описывать способом, наиболее соответствующим особенностям каждой функции. Например, пользователь может описывать логику управления при помощи диаграмм состояния, арифметические функциональные блоки - при помощи схем трактов обработки данных, а алгоритмические функции на языке VHDL. Окончательное описание может быть комбинацией как текстовых, так и графических материалов и служит основой для анализа и реализации ASIC.

Подсистема Logic Assistant преобразует затеи полученную спецификацию в поведенческий код языка VHDL. Этот код может быть обработан при помощи системы моделирования на языке VHDL, разработанной третьей фирмой. Модифицирование спецификации на поведенческом уровне, дает возможность вносить изменения и производить отладку на начальных этапах проектирования.

Disign Assistant

После того, как спецификация проверена, ее можно отобразить на ASIC-приборе. Вначале, однако, пользователь должен решить, каким образом лучше всего реализовать такой высокоуровневый проект. Описание проекта можно отобразить на одну или несколько вентильных матриц или ИС на базе стандартных элементов.

Dising Assistant помогает пользователям оценивать разнообразные варианты, чтобы добиться оптимальной реализации. D.A. по указанию пользователя определяет оценочный размер кристалла, возможные способы корпусирования, мощность потребления и расчетное количество логических вентилей для каждого варианта декомпозиции и для каждого вида ASIC.

Пользователь может затем в интерактивном режиме производить анализ по принципу "что-если", исследовать альтернативные технические решения с разными вариантами декомпозиции проекта или компоновать и перемещать стандартные элементы для случая вентильных матриц. Таким образом пользователь может найти оптимальный подход, удовлетворяющий требованиям спецификации.

ASIC Synthesizer

После того, как конкретный вариант проекта выбран, его поведенческое описание необходимо преобразовать в представление уровня логических вентилей. Эта процедура является весьма трудоемкой.

На вентильном уровне в качестве структурных элементов могут быть выбраны: логические вентили, триггера, а в качестве средств описания - таблицы истинности, логические уравнения. При использовании регистрового уровня, структурными элементами будут: регистры, сумматоры, счетчики, мультиплексоры, а средства описания - таблицы истинности, языки микроопераций, таблицы переходов.

Большое распространение на функционально- логическом уровне получили так называемые логические имитационные модели или просто имитационные модели (ИМ). ИМ отражают только внешнюю логику и временные особенности функционирования проектируемого устройства. Как правило, в ИМ внутренние операции и внутренняя структура не должны быть похожи на те, которые существуют в реальном устройстве. Но моделируемые операции и временные особенности функционирования, в том виде как они внешне наблюдаются, в ИМ должны быть адекватны тем, которые существуют в реальном устройстве.

Модели этого этапа используются для проверки правильности реализации заданных алгоритмов функционирования функциональной или логической схемы, а также временных диаграмм устройства без конкретной аппаратной реализации и учета особенностей элементной базы.

Это осуществляется методами логического моделирования. Под логическим моделированием подразумевается имитация на ЭВМ работы функциональной схемы в смысле продвижения информации, представленной в виде логических значений "0" и "1" от входа схемы к ее выходу. Проверка функционирования логической схемы включает как проверку реализуемых схемой логических функций, так и проверку временных соотношений (наличие критических путей, рисков сбоя и состязания сигналов). Основные задачи, решаемые с помощью моделей этого уровня, - верификация функциональных и принципиальных схем, анализ диагностических тестов.

Схемотехническое проектирование - это процесс разработки принципиальных электрических схем, спецификаций в соответствии с требованиями технического задания. Проектируемые устройства могут быть: аналоговые (генераторы, усилители, фильтры, модуляторы т др.), цифровые (разнообразные логические схемы), смешанные (аналогово-цифровые).

На этапе схемотехнического проектирования электронные устройства представляются на схемном уровне. Элементами этого уровня являются активные и пассивные компоненты: резистор, конденсатор, катушка индуктивности, транзисторы, диоды и т.д. В качестве элемента схемного уровня может быть использован и типовой фрагмент схемы (вентиль, триггер и т.д.). Электронная схема проектируемого представляет собой соединение идеальных компонентов, достаточно точно отображающее структуру и элементный состав проектируемого изделия. Предполагается, что идеальные компоненты схемы допускают математическое описание с заданными параметрами и характеристиками. Математическая модель компонента электронной схемы представляет собой ОДУ относительно переменных: тока и напряжения. Математическая модель устройства представляются совокупностью алгебраических или дифференциальных уравнений, выражающих зависимости между токами и напряжениями в различных компонентах схемы. Математические модели типовых фрагментов схемы называют макромоделями.

Этап схемотехнического проектирования включает следующие проектные процедуры:

·структурный синтез- построение эквивалентной схемы проектируемого устройства

·расчет статических характеристик предполагает определение токов и напряжений в любом узле схемы; анализ вольтамперных характеристик и исследование влияния параметров компонентов на них.

·расчет динамических характеристик заключается в определении выходных параметров схемы в зависимости от изменения внутренних и внешних параметров (одновариантный анализ), а также в оценке чувствительности и степени разброса относительно номинальных значений выходных параметров в зависимости от входных и внешних параметров электронной схемы (многовариантный анализ).

·параметрическая оптимизация, определяющая такие значения внутренних параметров электронной схемы, которые оптимизируют выходные параметры.

Различают нисходящее (сверху вниз) и восходящее (снизу вверх) проектирование. При нисходящем проектировании выполняются прежде этапы использующие высокие уровни представления устройств, чем этапы использующие более низкие иерархические уровни. При восходящем проектировании последовательность противоположная.

При рассмотрении дерева проекта можно указать на две концепции проектирования: восходящее проектирование (снизу вверх) и нисходящее (сверху вниз). Здесь словом "верх" обозначается корень дерева, а слово "низ" относится к листьям. При нисходящем проектировании работу можно начинать уже тогда, когда разработчику уже известны только функции корня, - и он (или она) производит, прежде всего, разбиение корня на некоторое множество примитивов нижележащего уровня.

После этого разработчик переходит к работе с нижележащим уровнем и осуществляет разбиение примитивов данного уровня. Подобный процесс продолжается до тех пор, пока дело не дойдет до узлов-листьев проекта. Для характеристики нисходящего проектирования важно отметить то, что разбиение на каждом уровне оптимизируется согласно тому или иному объективному критерию. Здесь разбиение не связывается рамками того, "что уже имеется".

Термин "восходящее проектирование" не совсем правилен в том смысле, что процесс проектирования по прежнему начинается с определения корня дерева, однако в этом случае разбиение осуществляется с учетом того, какие компоненты уже имеются и могут использоваться в качестве примитивов; другими словами, разработчику при разбиении приходится исходить из того, какие составные части будут представляться в узлах-листьях. Эти самые "нижние" части будут проектироваться в первую очередь. Нисходящее проектирование кажется самым подходящим подходом, однако его слабость в том, что получаемые компоненты не являются "стандартными", вследствие чего стоимость проекта увеличивается. Поэтому наиболее рациональным представляется сочетание методов восходящего и нисходящего проектирования.

Согласно прогнозам подавляющее большинство инженеров-разработчиков средств электронной и вычислительной техники будут пользоваться нисходящей методологией. Они станут, по сути, инженерами-системотехниками, причем значительную часть своего времени будут затрачивать на проектирование изделий на поведенческом уровне.

В настоящее время проектирование электронных систем осуществляется по восходящей методологии, причем первым этапом процесса проектирования является обычно ввод описания схемы на структурном уровне (очевидно, на уровне ИС и дискретных компонентов). После определения структуры вводится описание поведения этой системы на том или ином языке описания этой аппаратуры и осуществляется модулирование. В этом случае электронная часть проекта выполняется вручную, то есть без применения инструментальных средств проектирования.

Усложнение проектируемых систем приводит к тому, что разработчики практически теряют возможность интуитивно анализировать проект, то есть оценивать качество и характеристики спецификации проекта системы. А моделирование на системном уровне с использованием архитектурных моделей (как первый этап процесса нисходящего проектирования) представляет такую возможность.

В случае нисходящего проектирования, описанные выше два этапа восходящего проектирования, выполняются в обратном порядке. При нисходящем проектировании основное внимание уделяется поведенческому представлению разрабатываемой системы, а не ее физическому или структурному представлению. Естественно, что конечный результат нисходящего проектирования также представляет собой структурное или схемное представление проекта.

Здесь дело в том, что для нисходящего проектирования необходимы системные архитектурные модели, а для восходящего - структурные модели.

Преимущества (для всех САПР):

1) Методология нисходящего проектирования служит предпосылкой для параллельного проектирования: координированной разработки аппаратных и программных подсистем.

2) Внедрению метода нисходящего проектирования способствуют средства логического синтеза. Эти средства обеспечивают преобразование логических формул в физически реализуемые описания уровня логических вентилей.

Благодаря этому:

·упрощается физическая реализация

·эффективно используется время проектирования

·эффективно используются технологические шаблоны

Однако для сложных проектов, масштабы которых выражаются несколькими сотнями тысяч логических вентилей, желательно иметь возможность глобальной оптимизации благодаря моделированию и анализу на системном уровне.

3) Методология нисходящего проектирования базируется на том, что автоматически создается спецификация проекта по исходным функциональным требованиям. Именно функциональные требования являются исходным компонентом при проектировании сложных систем. Благодаря этому подобный подход позволяет уменьшить вероятность неработоспособной системы. Во многих случаях неработоспособность проектируемой системы вызывается несоответствием между функциональными требованиями и спецификациями проекта.

4) Еще одним потенциальным преимуществом нисходящего проектирования является то, что оно позволяет разрабатывать эффективные тесты для верификации и аттестации проекта, а также тест-векторы для контроля изготовленных изделий.

5) Результаты моделирования на системном уровне могут послужить основой для количественной оценки проекта уже на начальных стадиях проектирования. На более поздних этапах для верификации и аттестации проекта необходимо моделирование на уровне логических вентилей. Однородная среда проектирования позволит сравнить результаты моделирования, получаемые на первых и на последующих этапах проектирования.

Похожие рефераты:

Исходные данные, общая структура и основные этапы проектирования системы технического зрения. Рассмотрение функций и его реализация на базе однокристального микропроцессора КР1810. Разработка аппаратных средств и расчет времени работы программы.

Характеристика пакетов прикладных программ САПР. Изучение особенностей работы SCADA-систем, которые позволяют значительно ускорить процесс создания ПО верхнего уровня. Анализ инструментальной среды разработки приложений сбора данных и управления Genie.

Изучение технических характеристик и состава элементной базы современной ЭВМ. Разработка распределителя тактовых импульсов. Синтез вариантов реализации узла на уровне функциональных схем с использованием формальных и эвристических приемов проектирования.

Анализ вариантов реализации комбинационной схемы для различных типов программируемых логических интегральных схем (ПЛИС). Возможности программных пакетов Decomposer и WebPACK ISE. Описание сумматора на языке VHDL, его синтез при помощи пакета Decomposer.

Типовая схема процесса автоматизированного проектирования РЭС. Классификация проектных задач решаемых в процессе проектирования РЭС. Структура САПР, математическое обеспечение, лингвистическое обеспечение. Языки диалогов их разновидности и типы.

Проектирование современных электронных средств и характеристика существующих методов их конструирования. Государственные стандарты оформления конструкторской документации, их учет и хранение в бюро технической документации. Виды носителей информации.

Методы и этапы конструирования радиоэлектронной аппаратуры. Роль языка программирования в автоматизированных системах машинного проектирования. Краткая характеристика вычислительных машин, используемых при решении задач автоматизации проектирования РЭА.

Проектирование устройства, выполняющего функцию восьмиразрядного синхронного реверсивного сдвигающего регистра и синхронной реверсивной пересчетной схемы. Проектирование и расчет триггерного устройства. Синтез структуры проектируемого устройства.

Изучение основных принципов построения баз данных - именованной совокупности данных, отражающей состояние объектов и их отношений в рассматриваемой предметной области. Система управления базами данных. Концепции их построения и этапы проектирования.

Программные средств для проектирования радиотехнических устройств. Основные технические возможности программы Microsoft Word. Сравнительные характеристики программ для математических расчётов. Программы моделирования процессов в радиоэлектронных схемах.

Принципы проектирования комплекса технических средств автоматизированных систем управления. Требования, предъявляемые к специализированным устройствам, и затраты на их реализацию. Устройства кодирования графической информации. Графопостроители и табло.

Cущность методики схемотехнического проектирования триггеров, этапы абстрактного и структурного синтеза. Характеристическая таблица функций возбуждения RS-триггера, проектирование печатной платы. Система P-CAD и условно-графическое обозначение элементов.

Развитие компьютерных коммуникаций. Требования к экономической информации. Особенности информационных процессов на предприятиях. Проблемы внедрения информационных технологий в гуманитарной сфере. Методика информационного обследования предприятием.

Алгоритмические методы широко используются для измерения и расчёта параметров математических моделей радиокомпонентов в системах автоматизированного проектирования электронных схем. Для их проектирования используются электронно-вычислительные машины.

Оптимизация управления в различных сферах человеческой деятельности. Классификация автоматизированных информационных систем управления. Методы проектирования и этапы разработки. Структурная схема, объем памяти, аппаратура вывода и отображения информации.

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Контрольная работа по теме:

Этапы проектирования электронных систем

Проектное решение - промежуточное описание проектируемого объекта, полученное на том или ином иерархическом уровне, как результат выполнения процедуры (соответствующего уровня).

Проектная процедура - составная часть процесса проектирования. Примерами проектных процедур служат синтез функциональной схемы проектируемого устройства, моделирование, верификация, трассировка межсоединений на печатной плате и т.д.

Проектирование ЭУ разделяется на этапы. Этап представляет собой определенную последовательность проектных процедур. Общая последовательность этапов проектирования представляется так:

составление ТЗ;

ввод проекта;

проектирование архитектуры;

функционольно-логическое проектирование;

схемотехническое проектирование;

топологическое проектирование;

изготовление опытного образца;

определение характеристик устройства.

Составление ТЗ. Определяются требования к проектируемому изделию, его характеристики и формируется техническое задание на проектирование.

Ввод проекта. Для каждого этапа проектирования характерны свои средства ввода, более того, во многих инструментальных системах предусматривают более чем один способ описания проекта.

Эффективными являются высокоуровневые графические и текстовые редакторы описания проекта современных систем проектирования. Такие редакторы дают разработчику возможность чертить блок - схему крупной системы, назначать модели для индивидуальных блоков и соединять последние посредством шин и трактов передачи сигналов. Редакторы, как правило, автоматически связывают текстовые описания блоков и соединений с соответствующими графическими изображениями, обеспечивая тем самым комплексное моделирование системы. Это позволяет инженерам системотехника не менять привычного стиля работы: можно по - прежнему думать, набрасывая блок-схему своего проекта как бы на листе бумаги, в то же время будет вводится и накапливаться точная информация о системе.

Логические уравнения или принципиальные электрические схемы зачастую очень удачно используются для описания базовой интерфейсной стыковочной логике.

Таблицы истинности целесообразные для описания дешифраторов или других простых логических блоков.

Языки описания аппаратуры, содержащие конструкции типа конечных автоматов, обычно гораздо эффективнее для представления более сложных логических функциональных блоков, например блоков управления.

Проектирование архитектуры. Представляет собой проектирование ЭУ до уровня передачи сигналов ЦП и ЗУ, ЗУ и КПДП. На этом этапе определяется состав устройства в целом, определяются его главные аппаратные и программные компоненты.

Т.е. проектирование целой системы с высокоуровневым ее представлением для проверки корректности архитектурных решений, делается, как правило, в тех случаях, когда разрабатывается принципиально новая система и необходимо тщательно проработать все архитектурные вопросы.

Во многих случаях полное системное проектирование требует включения в структуру и неэлектрических компонентов и эффектов, с целью проверки их в едином комплексе моделирования.

В качестве элементов этого уровня используются: процессор, память, контроллеры, шины. При построении моделей и моделировании системы здесь используются методы теории графов, теории множеств, теории Марковских процессов, теории массового обслуживания, а также логико-математические средства описания функционирования системы.

На практике предусматривается построение параметризированной системной архитектуры и выбор оптимальных параметров ее конфигурации. Следовательно и соответствующие модели должны быть параметизированны. Параметры конфигурации архитектурной модели определяют, какие функции будут реализовываться аппаратными, а какие программными средствами. В качестве некоторых параметров конфигурации для аппаратных средств можно назвать:

число, разрядность и пропускную способность шин системы;

время доступа к памяти;

размер кэш-памяти;

число процессоров, портов, регистровых блоков;

емкость буферов передачи данных.

А к параметрам конфигурации программных средств относятся, например:

параметры планировщика;

приоритетность задач;

интервал "удаления мусора";

максимально допустимый интервал ЦП для программы;

параметры подсистемы управления памятью (размер страницы, сегмента, а также распределение файлов по дисковым секторам;

Параметры конфигурации средств передачи данных:

величина интервала тайм-аута;

размер фрагмента;

протокольные параметры для обнаружения и исправления ошибок.

Рис. 1 - Последовательность проектных процедур архитектурного этапа проектирования

При интерактивном проектировании на системном уровне вначале вводится функциональные спецификации системного уровня в виде диаграмм потоков данных, а также выбираются типы компонентов для реализации различных функций (рис. 1). Здесь главная задача заключается в том, что разработать такую системную архитектуру, которая будет удовлетворять заданным функциональным, скоростным и стоимостным требованиям. Ошибки на архитектурном уровне обходятся гораздо дороже, чем в решениях, принимаемых в процессе физической реализации.

Архитектурные модели имеют важное значение и отражают логику поведения системы и временные ее особенности, что позволяет выявлять функциональные проблемы. Они обладают четырьмя важными особенностями:

они точно представляют функциональные возможности аппаратных и программных компонентов с использованием высокоуровневых абстракций данных в виде потоков данных;

архитектурные модели абстрактно представляют технологию реализации в виде временных параметров. Конкретную технологию реализации определяют конкретные значения этих параметров;

архитектурные модели содержат схемы, позволяющие многим функциональным блокам разделять (коллективно использовать) компоненты;

эти модели должны допускать параметризацию, типизацию и повторное использование;

Моделирование на системном уровне позволяет разработчику оценить альтернативные варианты проектов системы с точки зрения соотношения их функциональных возможностей, показателей быстродействия и стоимости.

Инструментальная система нисходящего проектирования (ASIC Navigator, компании Compass Disign Automation) для ASIC (спец. ИС) и систем.

Попытка освободить инженеров от проектирование на вентильном уровне.

Logic Assistant (ассистент по логике);

Design Assistant;

ASIC Synthesizez (синтезатор ASIC);

Это унифицированная среда проектирования и анализа. Позволяет создать спецификацию ASIC, вводя графические и текстовые описания своих проектов. Пользователи могут описывать свои проекты при помощи большинства способов высокоуровневого ввода, в том числе блок-схем, булевых формул, диаграмм состояния, операторов языка VHDL и Verilog и т.д. Программные средства системы будут поддерживать эти способы ввода как основу всего последующего процесса проектирования ASIC-системы.

Общую архитектуру проектируемой ASIC можно представить в виде взаимосвязанных функциональных блоков без учета их физического разбиения. Эти блоки можно затем описывать способом, наиболее соответствующим особенностям каждой функции. Например, пользователь может описывать логику управления при помощи диаграмм состояния, арифметические функциональные блоки - при помощи схем трактов обработки данных, а алгоритмические функции на языке VHDL. Окончательное описание может быть комбинацией как текстовых, так и графических материалов и служит основой для анализа и реализации ASIC.

Подсистема Logic Assistant преобразует затеи полученную спецификацию в поведенческий код языка VHDL. Этот код может быть обработан при помощи системы моделирования на языке VHDL, разработанной третьей фирмой. Модифицирование спецификации на поведенческом уровне, дает возможность вносить изменения и производить отладку на начальных этапах проектирования.

Disign Assistant

После того, как спецификация проверена, ее можно отобразить на ASIC-приборе. Вначале, однако, пользователь должен решить, каким образом лучше всего реализовать такой высокоуровневый проект. Описание проекта можно отобразить на одну или несколько вентильных матриц или ИС на базе стандартных элементов.

Dising Assistant помогает пользователям оценивать разнообразные варианты, чтобы добиться оптимальной реализации. D.A. по указанию пользователя определяет оценочный размер кристалла, возможные способы корпусирования, мощность потребления и расчетное количество логических вентилей для каждого варианта декомпозиции и для каждого вида ASIC.

Пользователь может затем в интерактивном режиме производить анализ по принципу "что-если", исследовать альтернативные технические решения с разными вариантами декомпозиции проекта или компоновать и перемещать стандартные элементы для случая вентильных матриц. Таким образом пользователь может найти оптимальный подход, удовлетворяющий требованиям спецификации.

ASIC Synthesizer

После того, как конкретный вариант проекта выбран, его поведенческое описание необходимо преобразовать в представление уровня логических вентилей. Эта процедура является весьма трудоемкой.

На вентильном уровне в качестве структурных элементов могут быть выбраны: логические вентили, триггера, а в качестве средств описания - таблицы истинности, логические уравнения. При использовании регистрового уровня, структурными элементами будут: регистры, сумматоры, счетчики, мультиплексоры, а средства описания - таблицы истинности, языки микроопераций, таблицы переходов.

Большое распространение на функционально- логическом уровне получили так называемые логические имитационные модели или просто имитационные модели (ИМ). ИМ отражают только внешнюю логику и временные особенности функционирования проектируемого устройства. Как правило, в ИМ внутренние операции и внутренняя структура не должны быть похожи на те, которые существуют в реальном устройстве. Но моделируемые операции и временные особенности функционирования, в том виде как они внешне наблюдаются, в ИМ должны быть адекватны тем, которые существуют в реальном устройстве.

Модели этого этапа используются для проверки правильности реализации заданных алгоритмов функционирования функциональной или логической схемы, а также временных диаграмм устройства без конкретной аппаратной реализации и учета особенностей элементной базы.

Это осуществляется методами логического моделирования. Под логическим моделированием подразумевается имитация на ЭВМ работы функциональной схемы в смысле продвижения информации, представленной в виде логических значений "0" и "1" от входа схемы к ее выходу. Проверка функционирования логической схемы включает как проверку реализуемых схемой логических функций, так и проверку временных соотношений (наличие критических путей, рисков сбоя и состязания сигналов). Основные задачи, решаемые с помощью моделей этого уровня, - верификация функциональных и принципиальных схем, анализ диагностических тестов.

Схемотехническое проектирование - это процесс разработки принципиальных электрических схем, спецификаций в соответствии с требованиями технического задания. Проектируемые устройства могут быть: аналоговые (генераторы, усилители, фильтры, модуляторы т др.), цифровые (разнообразные логические схемы), смешанные (аналогово-цифровые).

На этапе схемотехнического проектирования электронные устройства представляются на схемном уровне. Элементами этого уровня являются активные и пассивные компоненты: резистор, конденсатор, катушка индуктивности, транзисторы, диоды и т.д. В качестве элемента схемного уровня может быть использован и типовой фрагмент схемы (вентиль, триггер и т.д.). Электронная схема проектируемого представляет собой соединение идеальных компонентов, достаточно точно отображающее структуру и элементный состав проектируемого изделия. Предполагается, что идеальные компоненты схемы допускают математическое описание с заданными параметрами и характеристиками. Математическая модель компонента электронной схемы представляет собой ОДУ относительно переменных: тока и напряжения. Математическая модель устройства представляются совокупностью алгебраических или дифференциальных уравнений, выражающих зависимости между токами и напряжениями в различных компонентах схемы. Математические модели типовых фрагментов схемы называют макромоделями.

Этап схемотехнического проектирования включает следующие проектные процедуры:

структурный синтез- построение эквивалентной схемы проектируемого устройства

расчет статических характеристик предполагает определение токов и напряжений в любом узле схемы; анализ вольтамперных характеристик и исследование влияния параметров компонентов на них.

расчет динамических характеристик заключается в определении выходных параметров схемы в зависимости от изменения внутренних и внешних параметров (одновариантный анализ), а также в оценке чувствительности и степени разброса относительно номинальных значений выходных параметров в зависимости от входных и внешних параметров электронной схемы (многовариантный анализ).

параметрическая оптимизация, определяющая такие значения внутренних параметров электронной схемы, которые оптимизируют выходные параметры.

Различают нисходящее (сверху вниз) и восходящее (снизу вверх) проектирование. При нисходящем проектировании выполняются прежде этапы использующие высокие уровни представления устройств, чем этапы использующие более низкие иерархические уровни. При восходящем проектировании последовательность противоположная.

При рассмотрении дерева проекта можно указать на две концепции проектирования: восходящее проектирование (снизу вверх) и нисходящее (сверху вниз). Здесь словом "верх" обозначается корень дерева, а слово "низ" относится к листьям. При нисходящем проектировании работу можно начинать уже тогда, когда разработчику уже известны только функции корня, - и он (или она) производит, прежде всего, разбиение корня на некоторое множество примитивов нижележащего уровня.

После этого разработчик переходит к работе с нижележащим уровнем и осуществляет разбиение примитивов данного уровня. Подобный процесс продолжается до тех пор, пока дело не дойдет до узлов-листьев проекта. Для характеристики нисходящего проектирования важно отметить то, что разбиение на каждом уровне оптимизируется согласно тому или иному объективному критерию. Здесь разбиение не связывается рамками того, "что уже имеется".

Термин "восходящее проектирование" не совсем правилен в том смысле, что процесс проектирования по прежнему начинается с определения корня дерева, однако в этом случае разбиение осуществляется с учетом того, какие компоненты уже имеются и могут использоваться в качестве примитивов; другими словами, разработчику при разбиении приходится исходить из того, какие составные части будут представляться в узлах-листьях. Эти самые "нижние" части будут проектироваться в первую очередь. Нисходящее проектирование кажется самым подходящим подходом, однако его слабость в том, что получаемые компоненты не являются "стандартными", вследствие чего стоимость проекта увеличивается. Поэтому наиболее рациональным представляется сочетание методов восходящего и нисходящего проектирования.

Согласно прогнозам подавляющее большинство инженеров-разработчиков средств электронной и вычислительной техники будут пользоваться нисходящей методологией. Они станут, по сути, инженерами-системотехниками, причем значительную часть своего времени будут затрачивать на проектирование изделий на поведенческом уровне.

В настоящее время проектирование электронных систем осуществляется по восходящей методологии, причем первым этапом процесса проектирования является обычно ввод описания схемы на структурном уровне (очевидно, на уровне ИС и дискретных компонентов). После определения структуры вводится описание поведения этой системы на том или ином языке описания этой аппаратуры и осуществляется модулирование. В этом случае электронная часть проекта выполняется вручную, то есть без применения инструментальных средств проектирования.

Усложнение проектируемых систем приводит к тому, что разработчики практически теряют возможность интуитивно анализировать проект, то есть оценивать качество и характеристики спецификации проекта системы. А моделирование на системном уровне с использованием архитектурных моделей (как первый этап процесса нисходящего проектирования) представляет такую возможность.

В случае нисходящего проектирования, описанные выше два этапа восходящего проектирования, выполняются в обратном порядке. При нисходящем проектировании основное внимание уделяется поведенческому представлению разрабатываемой системы, а не ее физическому или структурному представлению. Естественно, что конечный результат нисходящего проектирования также представляет собой структурное или схемное представление проекта.

Здесь дело в том, что для нисходящего проектирования необходимы системные архитектурные модели, а для восходящего - структурные модели.

Преимущества (для всех САПР):

1) Методология нисходящего проектирования служит предпосылкой для параллельного проектирования: координированной разработки аппаратных и программных подсистем.

2) Внедрению метода нисходящего проектирования способствуют средства логического синтеза. Эти средства обеспечивают преобразование логических формул в физически реализуемые описания уровня логических вентилей.

Благодаря этому:

упрощается физическая реализация

эффективно используется время проектирования

эффективно используются технологические шаблоны

Однако для сложных проектов, масштабы которых выражаются несколькими сотнями тысяч логических вентилей, желательно иметь возможность глобальной оптимизации благодаря моделированию и анализу на системном уровне.

3) Методология нисходящего проектирования базируется на том, что автоматически создается спецификация проекта по исходным функциональным требованиям. Именно функциональные требования являются исходным компонентом при проектировании сложных систем. Благодаря этому подобный подход позволяет уменьшить вероятность неработоспособной системы. Во многих случаях неработоспособность проектируемой системы вызывается несоответствием между функциональными требованиями и спецификациями проекта.

4) Еще одним потенциальным преимуществом нисходящего проектирования является то, что оно позволяет разрабатывать эффективные тесты для верификации и аттестации проекта, а также тест-векторы для контроля изготовленных изделий.

5) Результаты моделирования на системном уровне могут послужить основой для количественной оценки проекта уже на начальных стадиях проектирования. На более поздних этапах для верификации и аттестации проекта необходимо моделирование на уровне логических вентилей. Однородная среда проектирования позволит сравнить результаты моделирования, получаемые на первых и на последующих этапах проектирования.

Подобные документы

    Понятие, задачи и проблемы автоматизации проектирования сложных электронных систем. Структура комплекса аппаратно-программных средств САПР. Описание микросхемного, регистрового, вентильного и кремниевого уровней представления мультипроцессорных систем.

    реферат , добавлен 11.11.2010

    Моделирование усилителя мощности звуковых частот (УМЗЧ) с целью проверки соответствия его характеристик техническим требованиям, предъявляемым к данному типу устройств. Изучение основных проектных процедур схемотехнического этапа проектирования.

    курсовая работа , добавлен 07.07.2009

    Типовая схема процесса автоматизированного проектирования РЭС. Классификация проектных задач решаемых в процессе проектирования РЭС. Структура САПР, математическое обеспечение, лингвистическое обеспечение. Языки диалогов их разновидности и типы.

    реферат , добавлен 10.12.2008

    Алгоритмические методы широко используются для измерения и расчёта параметров математических моделей радиокомпонентов в системах автоматизированного проектирования электронных схем. Для их проектирования используются электронно-вычислительные машины.

    диссертация , добавлен 15.12.2008

    Система схемотехнического моделирования электронных устройств. Математическое описание объектов управления; определение параметров технологических объектов. Оценка показателей качества САУ. Расчет линейных непрерывных систем, их структурная оптимизация.

    курс лекций , добавлен 06.05.2013

    Анализ современного состояния проектирования приемо-передающих радиоустройств. Описание систем поддержки принятия решений, перспективы применения подобных систем в области проектирования. Расчет полосы пропускания высокочастотного тракта приемника.

    дипломная работа , добавлен 30.12.2015

    Основные методы проектирования и разработки электронных устройств. Расчет их статических и динамических параметров. Практическое применение пакета схемотехнического моделирования MicroCap 8 для моделирования усилителя в частотной и временной областях.

    курсовая работа , добавлен 23.07.2013

    Режимы работы, типы технических средств телевизионных систем видеонаблюдения, этапы и алгоритм проектирования. Параметры выбора монитора и наиболее популярных устройств регистрации. Классификация камер, особенности внутреннего и внешнего монтажа.

    реферат , добавлен 25.01.2009

    Принципы проектирования комплекса технических средств автоматизированных систем управления. Требования, предъявляемые к специализированным устройствам, и затраты на их реализацию. Устройства кодирования графической информации. Графопостроители и табло.

    реферат , добавлен 20.02.2011

    Методы и этапы конструирования радиоэлектронной аппаратуры. Роль языка программирования в автоматизированных системах машинного проектирования. Краткая характеристика вычислительных машин, используемых при решении задач автоматизации проектирования РЭА.

Контрольная работа по теме:

Этапы проектирования электронных систем


Проектное решение - промежуточное описание проектируемого объекта, полученное на том или ином иерархическом уровне, как результат выполнения процедуры (соответствующего уровня).

Проектная процедура - составная часть процесса проектирования. Примерами проектных процедур служат синтез функциональной схемы проектируемого устройства, моделирование, верификация, трассировка межсоединений на печатной плате и т.д.

Проектирование ЭУ разделяется на этапы. Этап представляет собой определенную последовательность проектных процедур. Общая последовательность этапов проектирования представляется так:

составление ТЗ;

ввод проекта;

проектирование архитектуры;

функционольно-логическое проектирование;

схемотехническое проектирование;

топологическое проектирование;

изготовление опытного образца;

определение характеристик устройства.

Составление ТЗ. Определяются требования к проектируемому изделию, его характеристики и формируется техническое задание на проектирование.

Ввод проекта. Для каждого этапа проектирования характерны свои средства ввода, более того, во многих инструментальных системах предусматривают более чем один способ описания проекта.

Эффективными являются высокоуровневые графические и текстовые редакторы описания проекта современных систем проектирования. Такие редакторы дают разработчику возможность чертить блок - схему крупной системы, назначать модели для индивидуальных блоков и соединять последние посредством шин и трактов передачи сигналов. Редакторы, как правило, автоматически связывают текстовые описания блоков и соединений с соответствующими графическими изображениями, обеспечивая тем самым комплексное моделирование системы. Это позволяет инженерам системотехника не менять привычного стиля работы: можно по - прежнему думать, набрасывая блок-схему своего проекта как бы на листе бумаги, в то же время будет вводится и накапливаться точная информация о системе.

Логические уравнения или принципиальные электрические схемы зачастую очень удачно используются для описания базовой интерфейсной стыковочной логике.

Таблицы истинности целесообразные для описания дешифраторов или других простых логических блоков.

Языки описания аппаратуры, содержащие конструкции типа конечных автоматов, обычно гораздо эффективнее для представления более сложных логических функциональных блоков, например блоков управления.

Проектирование архитектуры. Представляет собой проектирование ЭУ до уровня передачи сигналов ЦП и ЗУ, ЗУ и КПДП. На этом этапе определяется состав устройства в целом, определяются его главные аппаратные и программные компоненты.

Т.е. проектирование целой системы с высокоуровневым ее представлением для проверки корректности архитектурных решений, делается, как правило, в тех случаях, когда разрабатывается принципиально новая система и необходимо тщательно проработать все архитектурные вопросы.

Во многих случаях полное системное проектирование требует включения в структуру и неэлектрических компонентов и эффектов, с целью проверки их в едином комплексе моделирования.

В качестве элементов этого уровня используются: процессор, память, контроллеры, шины. При построении моделей и моделировании системы здесь используются методы теории графов, теории множеств, теории Марковских процессов, теории массового обслуживания, а также логико-математические средства описания функционирования системы.

На практике предусматривается построение параметризированной системной архитектуры и выбор оптимальных параметров ее конфигурации. Следовательно и соответствующие модели должны быть параметизированны. Параметры конфигурации архитектурной модели определяют, какие функции будут реализовываться аппаратными, а какие программными средствами. В качестве некоторых параметров конфигурации для аппаратных средств можно назвать:

число, разрядность и пропускную способность шин системы;

время доступа к памяти;

размер кэш-памяти;

число процессоров, портов, регистровых блоков;

емкость буферов передачи данных.

А к параметрам конфигурации программных средств относятся, например:

параметры планировщика;

приоритетность задач;

интервал "удаления мусора";

максимально допустимый интервал ЦП для программы;

параметры подсистемы управления памятью (размер страницы, сегмента, а также распределение файлов по дисковым секторам;

Параметры конфигурации средств передачи данных:

величина интервала тайм-аута;

размер фрагмента;

протокольные параметры для обнаружения и исправления ошибок.


Рис. 1 - Последовательность проектных процедур архитектурного этапа проектирования

При интерактивном проектировании на системном уровне вначале вводится функциональные спецификации системного уровня в виде диаграмм потоков данных, а также выбираются типы компонентов для реализации различных функций (рис. 1). Здесь главная задача заключается в том, что разработать такую системную архитектуру, которая будет удовлетворять заданным функциональным, скоростным и стоимостным требованиям. Ошибки на архитектурном уровне обходятся гораздо дороже, чем в решениях, принимаемых в процессе физической реализации.

Архитектурные модели имеют важное значение и отражают логику поведения системы и временные ее особенности, что позволяет выявлять функциональные проблемы. Они обладают четырьмя важными особенностями:

они точно представляют функциональные возможности аппаратных и программных компонентов с использованием высокоуровневых абстракций данных в виде потоков данных;

архитектурные модели абстрактно представляют технологию реализации в виде временных параметров. Конкретную технологию реализации определяют конкретные значения этих параметров;

архитектурные модели содержат схемы, позволяющие многим функциональным блокам разделять (коллективно использовать) компоненты;

эти модели должны допускать параметризацию, типизацию и повторное использование;

Моделирование на системном уровне позволяет разработчику оценить альтернативные варианты проектов системы с точки зрения соотношения их функциональных возможностей, показателей быстродействия и стоимости.

Инструментальная система нисходящего проектирования (ASIC Navigator, компании Compass Disign Automation) для ASIC (спец. ИС) и систем.

Попытка освободить инженеров от проектирование на вентильном уровне.

Logic Assistant (ассистент по логике);

Design Assistant;

ASIC Synthesizez (синтезатор ASIC);


Это унифицированная среда проектирования и анализа. Позволяет создать спецификацию ASIC, вводя графические и текстовые описания своих проектов. Пользователи могут описывать свои проекты при помощи большинства способов высокоуровневого ввода, в том числе блок-схем, булевых формул, диаграмм состояния, операторов языка VHDL и Verilog и т.д. Программные средства системы будут поддерживать эти способы ввода как основу всего последующего процесса проектирования ASIC-системы.

Общую архитектуру проектируемой ASIC можно представить в виде взаимосвязанных функциональных блоков без учета их физического разбиения. Эти блоки можно затем описывать способом, наиболее соответствующим особенностям каждой функции. Например, пользователь может описывать логику управления при помощи диаграмм состояния, арифметические функциональные блоки - при помощи схем трактов обработки данных, а алгоритмические функции на языке VHDL. Окончательное описание может быть комбинацией как текстовых, так и графических материалов и служит основой для анализа и реализации ASIC.

Подсистема Logic Assistant преобразует затеи полученную спецификацию в поведенческий код языка VHDL. Этот код может быть обработан при помощи системы моделирования на языке VHDL, разработанной третьей фирмой. Модифицирование спецификации на поведенческом уровне, дает возможность вносить изменения и производить отладку на начальных этапах проектирования.

Disign Assistant

После того, как спецификация проверена, ее можно отобразить на ASIC-приборе. Вначале, однако, пользователь должен решить, каким образом лучше всего реализовать такой высокоуровневый проект. Описание проекта можно отобразить на одну или несколько вентильных матриц или ИС на базе стандартных элементов.

Dising Assistant помогает пользователям оценивать разнообразные варианты, чтобы добиться оптимальной реализации. D.A. по указанию пользователя определяет оценочный размер кристалла, возможные способы корпусирования, мощность потребления и расчетное количество логических вентилей для каждого варианта декомпозиции и для каждого вида ASIC.

Пользователь может затем в интерактивном режиме производить анализ по принципу "что-если", исследовать альтернативные технические решения с разными вариантами декомпозиции проекта или компоновать и перемещать стандартные элементы для случая вентильных матриц. Таким образом пользователь может найти оптимальный подход, удовлетворяющий требованиям спецификации.

ASIC Synthesizer

После того, как конкретный вариант проекта выбран, его поведенческое описание необходимо преобразовать в представление уровня логических вентилей. Эта процедура является весьма трудоемкой.

На вентильном уровне в качестве структурных элементов могут быть выбраны: логические вентили, триггера, а в качестве средств описания - таблицы истинности, логические уравнения. При использовании регистрового уровня, структурными элементами будут: регистры, сумматоры, счетчики, мультиплексоры, а средства описания - таблицы истинности, языки микроопераций, таблицы переходов.

Большое распространение на функционально- логическом уровне получили так называемые логические имитационные модели или просто имитационные модели (ИМ). ИМ отражают только внешнюю логику и временные особенности функционирования проектируемого устройства. Как правило, в ИМ внутренние операции и внутренняя структура не должны быть похожи на те, которые существуют в реальном устройстве. Но моделируемые операции и временные особенности функционирования, в том виде как они внешне наблюдаются, в ИМ должны быть адекватны тем, которые существуют в реальном устройстве.